Loihi - Intel

Learn about Intel's neuromorphic hardware: Loihi 1

Loihi At A Glance

Release Year: 2018
Status: End Of Life
Chip Type: Digital
Software: nxSDK
Applications: Research
Neurons: 128k
Synapses: 128 million
On-Chip Learning: true
Power: <1.5 W

Loihi 1 is Intel's advanced neuromorphic chip, designed to mimic brain-like processing, enabling efficient, adaptive machine learning applications.

Loihi is an experimental neuromorphic computer chip developed by Intel Labs as a research platform for spike-based neural networks and computational neuroscience. Formally announced in 2017, it represents a significant advancement in neuromorphic hardware capabilities compared to prior academic and industry prototypes.

Architecture

The Loihi chip integrates 128 neuromorphic cores, 3 x86 processor cores, and over 33MB of on-chip SRAM memory fabricated using Intel’s 14nm process technology spanning 60mm2. It supports asynchronous spiking neural network models for up to 130,000 synthetic compartmental neurons and 130 million synapses.

Its manycore mesh connects cores through an asynchronous network-on-chip that transports neural spike messages together with x86 control and data messages. Support for hierarchical connectivity patterns allows the mapping of deep convolutional networks optimized for vision and audio sensing tasks. On-chip learning rules based on spike timing are fully programmable using microcode embedded in each core.

The neural model is based on standard leaky integrate-and-fire dynamics extended with features like dendritic compartments, reward-modulated spike-timing-dependent plasticity, axonal and refractive delays, and stochastic synaptic noise. Asynchronous design techniques minimize active power by exploiting the sparsity of neural spike events in time and across the array. Per-core neuron update rates can exceed 10MHz in a 1V process corner.

Results

Pre-silicon benchmarks demonstrate over 5000x better energy-delay product compared to conventional solutions when solving a large convolutional sparse coding problem involving a 52x52 image and 224-dimensional feature space. Small-scale on-chip learning results proved viable for basic supervised and reinforcement learning algorithms utilizing programmable spike timing and reward mechanisms.

The Loihi architecture and early results provide a case study for the potential of spike-based computation to solve machine learning problems highly efficiently compared to traditional dataflow architectures. The low precision, event-driven operation, and temporal encoding schemes open new points in the design space for specialized AI hardware. Open challenges remain to scale validated network capacity and to map widely useful deep learning architectures.

Impact

Since its academic publication in IEEE Micro, Loihi has expanded Intel Labs’ neuromorphic research agenda as the foundation for ongoing energy-efficient architectures. The programmable asynchronous fabric delivers flexible experimentation combined with patching and telemetry access to internal dynamics - key capabilities distinguished from prior neuromorphic projects.

With community access to Loihi, researchers globally pursue innovative algorithms and models for continuous-time intelligence beyond machine learning. Application spaces under investigation include adaptive robotics, novelty detection, few-shot learning, planning under uncertainty, and computational neuroscience hypotheses. Extending Loihi’s proven neural building blocks to larger graphs promises to unlock new spike-based algorithms and workloads.

DateTitleAuthorsVenue/Source
July 2022Interactive continual learning for robots: a neuromorphic approachE. Hajizada, P. Berggold, M. Iacono, A. Glover, Y. SandamirskayaICONS 2022
July 2022Fine-tuning Deep Reinforcement Learning Policies with r-STDP for Domain AdaptationM. Akl, Y. Sandamirskaya, D. Ergene, F. Walter, A. KnollICONS 2022
July 2022Sparse Vector Binding on Spiking Neuromorphic Hardware Using Synaptic DelaysA. Renner, Y. Sandamirskaya, F. T. Sommer, P. E. FradyICONS 2022
June 2022Neuromorphic computing hardware and neural architectures for roboticsY. Sandamirskaya, M. Kaboli, J.Conradt, T. CelikelScience Robotics (Viewpoint)
May 2022Efficient Neuromorphic Signal Processing with Resonator NeuronsE.P. Frady, S. Sanborn, S.B. Shrestha, D.B.D. Rubin, G. Orchard, F.T. Sommer, M. DaviesJournal of Signal Processing Systems
May 2022A Long Short-Term Memory for AI Applications in Spike-based Neuromorphic HardwareA. Rao, P. Plank, A. Wild, W. MaassNature Machine Intelligence
May 2022Mapping and Validating a Point Neuron Model on Intel’s Neuromorphic Hardware LoihiS. Dey, A. DimitrovFrontiers in Neuroinformatics
March 2022Time-Coded Spiking Fourier Transform in Neuromorphic HardwareJavier Lopez-Randulfe; Nico Reeb; Negin Karimi; Chen Liu; Hector Gonzalez; Robin Dietrich; Bernhard Vogginger; Christian Mayr; Alois KnollIEEE Xplore
December 2021Real-Time Edge Neuromorphic Tasting From Chemical Microsensor ArraysN. LeBow, B. Rueckauer, P. Sun, M. Rovira, C. Jiménez-Jorquera, S. Liu, J. Maria Margarit-TauléFrontiers in Neuroscience
August 2021A spiking central pattern generator for the control of a simulated lamprey robot running on SpiNNaker and Loihi neuromorphic boardsE. Angelidis, E. Buchholz, J. Arreguit, A. Rougé, T. Stewart, A. von Arnim, A. Knoll and A. IjspeertIOP Neuromorphic Computing and Engineering
June 2021The Backpropagation Algorithm Implemented on Spiking Neuromorphic HardwareA. Renner, F. Sheldon, A. Zlotnik, L. Tao, A. Sornborgerarxiv preprint
June 2021A Spiking Neural Network for Image SegmentationK. Patel, E. Hunsberger, S. Batir, C. Eliasmitharxiv preprint
July 2021CarSNN: An Efficient Spiking Neural Network for Event-Based Autonomous Cars on the Loihi Neuromorphic Research ProcessorA. Viale, A. Marchisio, M. Martina, G. Masera, M. ShafiqueIJCNN 2021
July 2021Heartbeat Classification with Spiking Neural Networks on the Loihi Neuromorphic ProcessorK. Buettner, A. D. GeorgeISVLSI 2021
May 2021Advancing Neuromorphic Computing With Loihi: A Survey of Results and OutlookM. Davies, A. Wild, G. Orchard, Y. Sandamirskaya, G. A. Fonseca Guerra, P. Joshi, P. Plank, and S. R. RisbudProceedings of the IEEE 2021
March 2021A Dual-Memory Architecture for Reinforcement Learning on Neuromorphic PlatformsW. Olin-Ammentorp, Y. Sokolov, M. BazhenovIOP Science
November 2020Neuromorphic control for optic-flow-based landings of MAVs using the Loihi processorJ Dupeyroux, J Hagenaars, F Paredes-Vallés, and G de Croonarxiv preprint
October 2020Deep Reinforcement Learning with Population-Coded Spiking Neural Network for Continuous ControlG. Tang, N. Kumar, R. Yoo, K.P. MichmizosCoRL 2020
October 2020Online few-shot gesture learning on a neuromorphic processorK. Stewart, G. Orchard, S.B. Shrestha, E. Neftci
October 2020Visual Pattern Recognition with On-chip Learning: towards a Fully Neuromorphic ApproachS. Baumgartner, A. Renner, R. Kreiser, D. Liang, G. Indiveri, Y. SandamirskayaISCAS 2020
October 2020Event-based PID controller fully realized in neuromorphic hardware: a one DoF studyR. K. Stagsted, A. Vitale, J. Binz, A. Renner, L. B. Larsen, A. L. Christensen, Y. SandamirskayaIROS 2020
September 2020On-chip Few-shot Learning with Surrogate Gradient Descent on a Neuromorphic ProcessorK. Stewart, G. Orchard, S.B. Shrestha, E. NeftciAICAS 2020
September 2020P-CRITICAL: A Reservoir Autoregulation Plasticity Rule for Neuromorphic HardwareI. Balafrej, J. RouatIOP Science 2022
August 2020Hand-Gesture Recognition Based on EMG and Event-Based Camera Sensor Fusion: A Benchmark in Neuromorphic ComputingE. Ceolini, C. Frenkel, S. Bam Shrestha, G. Taverni, L. Khacef, M. Payvand, E. DonatiFrontiers 2020
July 2020Solving a steady-state PDE using spiking networks and neuromorphic hardwareJ. D. Smith, W. Severa, A. J. Hill, L. Reeder, B. Franke, R. B. Lehoucq, O. D. Parekh, J. B. AimoneICONS 2020
July 2020Event-Driven Visual-Tactile Sensing and Learning for RobotsT. Taunyazov, W. Sng, B. Lim, H. Hian, J. Kuan, A. Fatir, B. Tee, H. SohRSS 2020
July 2020An Efficient Spiking Neural Network for Recognizing Gestures with a DVS Camera on the Loihi Neuromorphic ProcessorR. Massa, A. Marchisio, M. Martina, M. ShafiqueIJCNN 2020
July 2020Approximating Conductance-Based Synapses by Current-Based SynapsesM. Kiselev, A. Ivanov, D. IvanovNeuroinformatics 2020
July 2020An Astrocyte-Modulated Neuromorphic CPG for Hexapod Robot Locomotion on Intel’s LoihiI. Polykretis, G. Tang, K. P. Michmizos (Rutgers)ICONS 2020
July 2020Towards neuromorphic control: A spiking neural network based PID controller for UAVR. K. Stagsted, A. Vitale, J. Binz, A. Renner, L. B. Larsen, Y. Sandamirskaya (SDU, ETH, Intel)RSS 2020
June 2020Minimax Dynamics of Optimally Balanced Spiking Networks of Excitatory and Inhibitory NeuronsQ. Li, C. PehlevanNeurIPS 2020
June 2020Neurons as canonical correlation analyzersC. Pehlevan, X. Zhao, A. Sengupta, and D. B. ChklovskiiFrontiers in Computational Neuroscience 2020
June 2020Reinforcement co-Learning of Deep and Spiking Neural Networks for Energy-Efficient Mapless Navigation with Neuromorphic HardwareG. Tang, N. Kumar, K. P. Michmizos (Rutgers)IROS 2020
April 2020Neuromorphic Nearest-Neighbor Search Using Intel’s Pohoiki SpringsEP. Frady and G. Orchard et al (Intel)NICE 2020
March 2020Rapid online learning and robust recall in a neuromorphic olfactory circuitN. Imam (Intel), T. Cleland (Cornell)Nature Machine Intelligence
December 2019A spiking neural network algorithm for the network flow problemA. Ali, J. Kwisthout
July 2019A Neuromorphic Sparse Coding Defense to Adversarial ImagesE. Kim, J. Yarnall, P. Shah (Villanova), and G. Kenyon (LANL)ICONS 2019
July 2019High Speed Approximate Cognitive Domain Ontologies for Asset Allocation Using Loihi Spiking NeuronsC. Yakopcic, T. Atahary, N. Rahman, T. M. Taha, A. Beigh, and S. DouglassIJCNN 2019
June 2019Rapid online learning and robust recall in a neuromorphic olfactory circuitN. Imam (Intel), T. Cleland (Cornell)Nature Machine Intelligence 2020
May 2019Dynamical Systems in Spiking Neuromorphic HardwareA. Voelker (Waterloo)PhD thesis
March 2019Spiking Neural Network on Neuromorphic Hardware for Energy-Efficient Unidimensional SLAMG. Tang, A. Shah, K. Michmizos (Rutgers)IROS 2019
Dec 2018Benchmarking Keyword Spotting Efficiency on Neuromorphic HardwareP. Blouw, Xuan Choo, Eric Hunsberger, Chris EliasmithNICE 2019
~ Share this Site ~
Spiking Neurons: A Digital Hardware Implementation

Spiking Neurons: A Digital Hardware Implementation

  • Fabrizio Ottati

Learn how to model Leaky Integrate and Fire (LIF) neurons in digital hardware. Understand spike communication, synapse integration, and more for hardware implementation.

Efficient Compression for Event-Based Data in Neuromorphic Applications

Efficient Compression for Event-Based Data in Neuromorphic Applications

  • Gregor Lenz, Fabrizio Ottati, Alexandre Marcireau

Discover methods to efficiently encode and store event-based data from high-resolution event cameras, striking a balance between file size and fast retrieval for spiking neural network training.

TrueNorth: A Deep Dive into IBM's Neuromorphic Chip Design

TrueNorth: A Deep Dive into IBM's Neuromorphic Chip Design

  • Fabrizio Ottati

Explore the innovative TrueNorth neuromorphic chip, its event-driven architecture, low power operation, massive parallelism, real-time capabilities, and scalable design.