Odin - Charlotte Frenkel

Learn about Charlotte Frenkel's neuromorphic hardware: ODIN

ODIN At A Glance

Release Year: 2019
Status: Released
Chip Type: Digital
Applications: General-purpose experimentation platform for bio-inspired edge computing.
Neurons: 256
Synapses: 256
Weight bits: 3 bits (+ 1 bit of mapping table)
On-Chip Learning: true
Power: 30µW - 1mW

The ODIN 256-neuron 64k-synapse neuromorphic processor highlights how design constraints on the synapses can be released by offloading most synaptic computations at the neuron level. All synapses embed spike-driven synaptic plasticity (SDSP), while neurons are able to phenomenologically reproduce the 20 Izhikevich behaviors of cortical spiking neurons. At the time of publication, ODIN demonstrated the highest synaptic density, and the lowest energy per synaptic operation among digital designs. ODIN was fabricated in 28nm CMOS and can be prototyped in small FPGAs.

Developed By:

Overview

ODIN is the first fully open-source neuromorphic chip, with the highest synaptic density (incl. online learning) at the time of publication. ODIN is based on a single 256-neuron 64k-synapse crossbar neurosynaptic core, fabricated in 28nm FDSOI CMOS. It serves as a general-purpose experimentation platform for bio-inspired edge computing. The Verilog source files and the documentation are available at https://github.com/ChFrenkel/ODIN/ (see also https://github.com/ChFrenkel/tinyODIN/ for a simplified low-footprint version containing only LIF neurons and without synaptic plasticity). The chips are not available, but ODIN can be deployed on small-scale FPGAs. Multiple cores can be instantiated, but ODIN does not contain a NoC toward large-scale integration.

DateTitleAuthorsVenue/Source
November 2018A 0.086-mm² 12.7-pJ/SOP 64k-Synapse 256-Neuron Online-Learning Digital Spiking Neuromorphic Processor in 28-nm CMOSC. Frenkel, M. Lefebvre, J.-D. Legat and D. BolIEEE Transactions on Biomedical Circuits and Systems
~ Share this Site ~
Digital Neuromorphic Hardware Read List

Digital Neuromorphic Hardware Read List

  • Fabrizio Ottati

Stay up-to-date with cutting-edge digital hardware designs for neuromorphic applications. Explore recent research on power-efficient event-driven spiking neural networks and state-of-the-art processors like TrueNorth and Loihi.

Spiking Neurons: A Digital Hardware Implementation

Spiking Neurons: A Digital Hardware Implementation

  • Fabrizio Ottati

Learn how to model Leaky Integrate and Fire (LIF) neurons in digital hardware. Understand spike communication, synapse integration, and more for hardware implementation.

Spiking Neural Network (SNN) Library Benchmarks

Spiking Neural Network (SNN) Library Benchmarks

  • Gregor Lenz, Kade Heckel, Sumit Bam Shrestha, Cameron Barker, Jens Egholm Pedersen

Discover the fastest Spiking Neural Network (SNN) frameworks for deep learning-based optimization. Performance, flexibility, and more analyzed in-depth